Library yang ada di VHDL

VHDL merupakan sebuah bahasa pemrograman yang digunakan untuk mendeskripsikan hardware. VHDL merupakan singkatan dari VHSIC (Very High Speed Integrated Circuit) Hardware Description Language. Versi pertamanya adalah VHDL 87 yang kemudian diperbarui lagi dan disebut VHDL 93. VHDL merupakan bahasa pendeskripsian hardware pertama yang distandardisasi oleh Institute of Electrical and electronics Engeneers, melaui standard IEEE 1076. Kemudian sebuah standard tambahan, IEEE 1164 untuk mengenalkan nilai system logic. Manfaat utama dari VHDL ketika digunakan untuk mendesain sebuah sistem adalah kemampuannya untuk memodelkan sistem tersebut serta mensimulasikannya sebelum synthesis tools mentranslasikannya ke hardware.
Versi awal VHDL, dirancang untuk IEEE standar 1076-1987 , termasuk berbagai jenis data, termasuk numerik ( integer dan real ), logis ( bit dan boolean ), karakter dan waktu , ditambah dengan array dari disebut bit_vector bit dan karakter disebut string .
Suatu masalah tidak diselesaikan dengan edisi ini, bagaimanapun, adalah "multi-nilai logika", dimana drive's kekuatan sinyal (tidak kuat, lemah atau) dan nilai-nilai yang tidak diketahui juga dipertimbangkan. Ini diperlukan standar IEEE 1164 , yang mendefinisikan nilai logika jenis-9: std_ulogic skalar dan vektor std_ulogic_vector versinya.
Isu kedua IEEE 1076 , pada tahun 1993, membuat sintaks lebih konsisten, memungkinkan fleksibilitas yang lebih dalam penamaan, memperluas character tipe untuk memungkinkan ISO-8859-1 karakter yang dapat dicetak, menambahkan xnor operator, dll
Perubahan kecil dalam standar (2000 dan 2002) menambahkan gagasan jenis dilindungi (mirip dengan konsep kelas di C + +) dan dihapus beberapa pembatasan dari aturan pemetaan pelabuhan.
Selain standar IEEE 1164, standar beberapa anak diperkenalkan untuk memperluas fungsi bahasa. IEEE 1076,2 standar ditambahkan penanganan lebih baik dari tipe data yang nyata dan kompleks.
IEEE 1076,3 standar diperkenalkan signed dan unsigned jenis operasi aritmatika untuk memfasilitasi dalam vektor.IEEE 1076,1 standar (dikenal sebagai VHDL-AMS ) yang disediakan-sinyal rangkaian desain ekstensi dan campuran analog.
Beberapa kemampuan VHDL antara lain :
• mendukung metodologi top-down dan bottom-up.
• testbench dapat dituliskan menggunakan bahasa ini.
• tipe data baru dapat disebutkan.
Sturktur Kode VHDL
Struktur dasar dari kode VHDL ditunjukkan pada gambar di bawah ini berikut
unit-unit dasar kode vhdl.jpg
1. Library : berisi semua library yang digunakan pada design.
contoh : ieee, std, work, dll.
2. Entity : spesikfikasi pin input dan output pada desain rangkaian.
3. Architecture : berisi kode utama VHDL yang menggambarkan bagaimana rangkaian bekerja.
tapi yang kita akan jelaskan contoh-contoh dari library yang ada di VHDL yaitu :
Library IEEE
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.math_real.all; use IEEE.math_complex.all;
use IEEE.numeric_bit.all; use IEEE.numeric_std.a
library STD;
use STD.textio; use STD.standard.all;
use IEEE.std_logic_textio.all;
use IEEE.std_logic_arith.all;
use IEEE.numeric_bit.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_signed.all;
use IEEE.std_logic_unsigned.all;
use IEEE.math_real.all;
use IEEE.math_complex.all;
library WORK : semua source code user akan dicompile dan dimasukkan ke dalam library ini
nara sumber :
http://www.ittelkom.ac.id/library/index.php?option=com_content&view=article&id=386:vhdl&catid=11:sistem-komunikasi&Itemid=15
http://finon-4ever.blogspot.com/2010/10/package-library-vhdl.html
http://andhikawardhana.blogspot.com/2010/10/library-dan-package-vhdl.html













http://mulyanto.files.wordpress.com/2008/01/parallel-divider.jpg

  • Digg
  • Del.icio.us
  • StumbleUpon
  • Reddit
  • Twitter
  • RSS

0 Response to "Library yang ada di VHDL"

Posting Komentar